hdlmake.tools.planahead package

Module contents

Module providing support for Xilinx PlanAhead synthesis

class hdlmake.tools.planahead.ToolPlanAhead

Bases: hdlmake.tools.xilinx.ToolXilinx

Class providing the interface for Xilinx PlanAhead synthesis

CLEAN_TARGETS = {'clean': ['planAhead_*', 'planAhead.*', '.Xil', '$(PROJECT).cache', '$(PROJECT).data', ' $(PROJECT).runs', '$(PROJECT).ppr']}
STANDARD_LIBS = ['ieee', 'ieee_proposed', 'simprims', 'std', 'synopsys', 'unimacro', 'unisim', 'XilinxCoreLib']
SUPPORTED_FILES = {<class 'hdlmake.srcfile.XMPFile'>: 'add_files -norecurse $(sourcefile); set_property IS_GLOBAL_INCLUDE 1 [get_files $(sourcefile)]', <class 'hdlmake.srcfile.NGCFile'>: 'add_files -norecurse $(sourcefile); set_property IS_GLOBAL_INCLUDE 1 [get_files $(sourcefile)]', <class 'hdlmake.srcfile.UCFFile'>: 'add_files -norecurse $(sourcefile); set_property IS_GLOBAL_INCLUDE 1 [get_files $(sourcefile)]', <class 'hdlmake.srcfile.BMMFile'>: 'add_files -norecurse $(sourcefile); set_property IS_GLOBAL_INCLUDE 1 [get_files $(sourcefile)]', <class 'hdlmake.srcfile.XCOFile'>: 'add_files -norecurse $(sourcefile); set_property IS_GLOBAL_INCLUDE 1 [get_files $(sourcefile)]'}
TCL_CONTROLS = {'bitstream': '$(TCL_OPEN)\nlaunch_runs impl_1 -to_step Bitgen\nwait_on_run impl_1\n$(TCL_CLOSE)'}
TOOL_INFO = {'id': 'planahead', 'linux_bin': 'planAhead -mode tcl -source', 'name': 'PlanAhead', 'project_ext': 'ppr', 'windows_bin': 'planAhead.exe -mode tcl -source'}