hdlmake.tools.quartus package

Module contents

Module providing support for Altera Quartus synthesis

class hdlmake.tools.quartus.ToolQuartus

Bases: hdlmake.tools.make_syn.ToolSyn

Class providing the interface for Altera Quartus synthesis

CLEAN_TARGETS = {'clean': ['*.rpt', '*.smsg', '*.summary', '*.done', '*.jdi', '*.pin', '*.qws', 'db', 'incremental_db', '$(PROJECT).qsf', '*.qpf'], 'mrproper': ['*.sof', '*.pof', '*.jam', '*.jbc', '*.ekp', '*.jic']}
HDL_FILES = {<class 'hdlmake.srcfile.VHDLFile'>: 'set_global_assignment -name VHDL_FILE $(sourcefile) -library work', <class 'hdlmake.srcfile.VerilogFile'>: 'set_global_assignment -name SYSTEMVERILOG_FILE $(sourcefile) -library work', <class 'hdlmake.srcfile.SVFile'>: 'set_global_assignment -name VERILOG_FILE $(sourcefile) -library work'}
PROP_TYPE = {'set_global_assignment': 3, 'set_location_assignment': 2, 'set_global_instance': 0, 'set_instance_assignment': 1}
SET_GLOBAL_ASSIGNMENT = 3
SET_GLOBAL_INSTANCE = 0
SET_INSTANCE_ASSIGNMENT = 1
SET_LOCATION_ASSIGNMENT = 2
STANDARD_LIBS = ['altera', 'altera_mf', 'lpm', 'ieee', 'std']
SUPPORTED_FILES = {<class 'hdlmake.srcfile.GDFFile'>: 'set_global_assignment -name GDF_FILE $(sourcefile)', <class 'hdlmake.srcfile.QSFFile'>: 'set_global_assignment -name SOURCE_TCL_SCRIPT_FILE $(sourcefile)', <class 'hdlmake.srcfile.SDCFile'>: 'set_global_assignment -name SDC_FILE $(sourcefile)', <class 'hdlmake.srcfile.BSFFile'>: 'set_global_assignment -name BSF_FILE $(sourcefile)', <class 'hdlmake.srcfile.DPFFile'>: 'set_global_assignment -name MISC_FILE $(sourcefile)', <class 'hdlmake.srcfile.TDFFile'>: 'set_global_assignment -name AHDL_FILE $(sourcefile)', <class 'hdlmake.srcfile.SignalTapFile'>: 'set_global_assignment -name SIGNALTAP_FILE $(sourcefile)', <class 'hdlmake.srcfile.QSYSFile'>: 'set_global_assignment -name QSYS_FILE $(sourcefile)', <class 'hdlmake.srcfile.BDFFile'>: 'set_global_assignment -name BDF_FILE $(sourcefile)', <class 'hdlmake.srcfile.QIPFile'>: 'set_global_assignment -name QIP_FILE $(sourcefile)'}
TCL_CONTROLS = {'project': 'load_package flow\n$(TCL_CREATE)\nsource files.tcl', 'bitstream': 'load_package flow\n$(TCL_OPEN)\nexecute_flow -compile', 'create': 'project_new $(PROJECT)', 'open': 'project_open $(PROJECT)', 'install_source': ''}
TOOL_INFO = {'linux_bin': 'quartus_sh -t', 'windows_bin': 'quartus_sh.exe -t', 'project_ext': 'qpf', 'name': 'Quartus', 'id': 'quartus'}