vhdl_parser module

class vhdl_parser.VHDLParser(dep_file)

Bases: new_dep_solver.DepParser

parse(dep_file)
class vhdl_parser.VHDLPreprocessor

Bases: object

preprocess(vhdl_file)
remove_comments_and_strings(s)

This Page