vhdl_parser module

class vhdl_parser.VHDLParser(dep_file)

Bases: new_dep_solver.DepParser

parse(dep_file)

This Page